Simultaneous Way-footprint Prediction and Branch Prediction for Energy Savings in Set-associative Instruction Caches

نویسندگان

  • Weiyu Tang
  • Rajesh Gupta
  • Alexandru Nicolau
  • Alexander V. Veidenbaum
چکیده

Caches are partitioned into subarrays for optimal timing In a set associative cache if the way holding the data is known before an access only subarrays for that way need to be accessed Reduction in cache switching activities results in energy savings In this paper we propose to extend the branch pre diction framework to enable way footprint prediction The next fetch address and its way footprint are pre dicted simultaneously for one way instruction cache access Because the way footprint prediction shares some prediction hardware with the branch prediction additional hardware cost is small To enlarge the number of one way cache accesses we have made modi cations to the branch prediction Speci cally we have investigated three BTB allocation policies Each policy results in average and energy savings with normalized execution time and respectively

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption

In this paper, we present a Branch Target Buuer (BTB) design for energy savings in set-associative instruction caches. We extend the functionality of a BTB by caching way predictions in addition to branch target addresses. Way prediction and branch target prediction are done in parallel. Instruction cache energy savings are achieved by accessing one cache way if the way prediction for a fetch i...

متن کامل

Integrated I cache Way Predictor and Branch Target Bu er to Reduce Energy Consumption

In this paper we present a Branch Target Bu er BTB design for energy savings in set associative in struction caches We extend the functionality of a BTB by caching way predictions in addition to branch target addresses Way prediction and branch target prediction are done in parallel Instruction cache energy savings are achieved by accessing one cache way if the way pre diction for a fetch is av...

متن کامل

Way Memoization to Reduce Fetch Energy in Instruction Caches

Instruction caches consume a large fraction of the total power in modern low-power microprocessors. In particular, set-associative caches, which are preferred because of lower miss rates, require greater access energy on hits than direct-mapped caches; this is because of the need to locate instructions in one of several ways. Way prediction has been proposed to reduce power dissipation in conve...

متن کامل

An Accurate and Energy-Efficient Way Determination Technique for Instruction Caches by Using Early Tag Matching

Energy consumption has become an important design consideration in modern processors. Therefore, microarchitects should consider energy consumption, together with performance, when designing the cache architecture, since it is a major power consumer in a processor. This paper proposes an accurate and energy-efficient way determination (instead of prediction) technique for reducing energy consum...

متن کامل

Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches

In this paper, we propose a novel approach to reduce dynamic power in set-associative caches that leverages on a leakage-saving proposal, namely Cache Decay. We thus open the possibility to unify dynamic and leakage management in the same framework. The main intuition is that in a decaying cache, dead lines in a set need not be searched. Thus, rather than trying to predict which cache way holds...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2001